Conditional assembly (all project type)


In order to make conditional assembly easier to programmers, Easy Code defines the DEBUG or RELEASE constants, depending on how the code is being compiled. If compiled in Debug mode, Easy Code internally defines DEBUG, while if compiled in Release mode, then RELEASE is defined instead (see REMARKS). Using DEBUG or RELEASE, and the conditional directives, you can write code for debugging purposes. For example:

#IFDEF DEBUG
    ;Instructions here will only
    ;
be compiled in Debug mode
#ENDIF

Also, you can write different code for Debug and Release versions:

#IFDEF DEBUG
    ;Instructions here will only
    ;
be compiled in Debug mode
#ELSE
    ;Instructions here will only
    ;
be compiled in Release mode
#ENDIF


REMARKS:
You can change from Debug to Release mode by checking (Debug) or unchecking (Release) the Add symbolic information option in the
Project properties.